CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - des vhdl

搜索资源列表

  1. DES.zip

    1下载:
  2. DES 加密算法的实现,使用硬件描述语言VHDL编写
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:
    • 文件大小:23840
    • 提供者:
  1. des.tar

    0下载:
  2. 这是一个vhdl的 DES编程软件,希望大家喜欢 -This is a VHDL programming software DES hope you like
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:38378
    • 提供者:沈鹏
  1. des

    0下载:
  2. DES加密VHDL源代码,包括速度优先与面积优先两种设计
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:3719077
    • 提供者:wlzpudn
  1. DES_16keys用VC生成DES加解密算法的16轮密钥

    0下载:
  2. 用VC生成DES加解密算法的16轮密钥, 可直接用于编写DES的VHDL的密钥生成模块 -Generated using DES encryption and decryption algorithm VC 16-round keys can be directly used to write the VHDL DES key generation module
  3. 所属分类:加密解密

    • 发布日期:2017-03-23
    • 文件大小:1379
    • 提供者:zhuangyan
  1. des3.rar

    0下载:
  2. 3des加密算法实现,经过FPGA验证的!,3des encryption algorithm, after FPGA validation!
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-28
    • 文件大小:12798
    • 提供者:guochao
  1. DES_Verilog

    0下载:
  2. 这是我用Verilog写的DES加解密程序,准确的说这是一份实验报告,里面不但有程序还有简单的注释[主要是针对仿真的波形的],我主要写的是主控部分,密钥生成部分参考了下版原康宏的程序.该程序即可加密也可解密,选用CycloneII器件即能跑到100Mhz以上.-This is what I used to write Verilog the DES encryption and decryption procedures, accurate to say that this is a test
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-27
    • 文件大小:297120
    • 提供者:jesse
  1. DW8051_ALL

    4下载:
  2. 包中包括, DW8051完整的Verilog HDL代码 两本手册: DesignWare Library DW8051 MacroCell, Datasheet DesignWare DW8051 MacroCell Databook 三篇51论文: 基于IP 核的PSTN 短消息终端SoC 软硬件协同设计 Embedded TCP/ IP Chip Based on DW8051 Core 以8051为核的SOC中的万年历的设计 -DW8051 is desi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-09-20
    • 文件大小:1587754
    • 提供者:myfingerhurt
  1. 3DES

    0下载:
  2. fpga3des加密非常有用 希望大家喜-fpga3des
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-04
    • 文件大小:137377
    • 提供者:house2
  1. quartus

    0下载:
  2. des algorithm send rx from serial port
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-15
    • 文件大小:3653085
    • 提供者:mohamed
  1. t3_enc

    0下载:
  2. triple des encryption decryption
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-05-25
    • 文件大小:8426298
    • 提供者:mohamed
  1. tripledes

    0下载:
  2. 3-DES加密IP核VHDL源码,3次DES流水执行-VHDL source code for 3-DES encryption IP core, pipelined execution
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-31
    • 文件大小:32250
    • 提供者:Yan, Like
  1. des_Vhdl

    0下载:
  2. VHDL & Verilog Synthesizable model of the Data Encryption Standard (DES)
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:46980
    • 提供者:changjc
  1. DES

    0下载:
  2. This is verilog source code for DES(Data Encryption standard) which is used in network security.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:20160
    • 提供者:Krupesh
  1. 3des_vhdl

    0下载:
  2. Triple DES cipher files
  3. 所属分类:Compress-Decompress algrithms

  1. DES_Encrypt_Decrypt_Verilog

    1下载:
  2. DES加密算法的Verilog HDL实现,带模式选择端口,可以实现加密和解密,已经modelsim仿真通过。-Des En/Decrypt,Verilog HDL code
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-03-26
    • 文件大小:7946
    • 提供者:Amazing_Eric
  1. serial_parallel_lib

    0下载:
  2. des encryption with vhdl
  3. 所属分类:Project Design

    • 发布日期:2017-04-16
    • 文件大小:292666
    • 提供者:samo
  1. mos_des

    0下载:
  2. DES算法的verilog实现,可以研究下。-DES for Verilog。
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:33693
    • 提供者:lina
  1. DES

    1下载:
  2. VHDL语言编写的DES算法,可以参考一下。 -VHDL language of the DES algorithm for reference.
  3. 所属分类:加密解密

    • 发布日期:2017-04-12
    • 文件大小:5159
    • 提供者:小哇
  1. DESsuanfa

    0下载:
  2. DES的加解密算法的实现,无错,非常适合毕业设计运用-DES encryption and decryption algorithm, error-free
  3. 所属分类:Crypt_Decrypt algrithms

    • 发布日期:2017-04-03
    • 文件大小:12828
    • 提供者:longli
  1. DES

    0下载:
  2. Data Encryption Standard(DES) VHDL Source Code
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-15
    • 文件大小:7731
    • 提供者:scpark
« 1 23 4 »
搜珍网 www.dssz.com